Skip to content

Libraries

Numeric Operations

Warning

Never mix these Libraries!!!

Use numeric_std - it's the proper IEEE standard and is more recent.

library ieee;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;

-- Never mix these libraries

library ieee ;
  use ieee.std_logic_1164.all ;
  use ieee.std_logic_arith.all ;
  use ieee.std_logic_unsigned.all ;

IEEE

Standart IEEE Libraries can not be found in every tool because of their copyright notice. Nevertheless they can be downloaded from the IEE Webpage

ieee libraries

STD

std libraries

Synopsys

synopys libraries